doc 可编程逻辑器件的设计与测试教案 ㊣ 精品文档 值得下载

🔯 格式:DOC | ❒ 页数:16 页 | ⭐收藏:0人 | ✔ 可以修改 | @ 版权投诉 | ❤️ 我的浏览 | 上传时间:2022-06-25 16:22

可编程逻辑器件的设计与测试教案

通过实际演示时钟选择器的设计过程,说明如何在中实现系统层次化设计,主要包括模块划分元件设计文件的建立元件符号的生成元件调用宏模块的调用与参数配置顶层电路原理图的建立。教学后记结合实验五时钟选择器实验来学习如何在中实现层次化设计。在实验课上指导学生完成模块划分元件设计文件的建立元件符号的生成元件调用宏模块的调用与参数配置顶层电路原理图的建立,最终在完成个复杂数字系统的层次化设计。通过实验课,使学生掌握在中采用图形法与文本法结合的混合输入方法实现元件重用和系统的层次化设计。第七周课内容系统层次化设计进阶教学目的理解元件例化程序包和类属映射。教学重点难点略教学方法图解举例。参考书目与资料略教学过程课堂教学实施过程共分四步。回顾上节课的内容在中实现层次化设计,并引出新问题如何用语言而不是元件符号来调用已设计的元件,实现系统层次化设计。由此引出元件例化程序包和类属映射这几个层次化设计中最重要的语句。说明什么是元件例化将以前设计的实体当作本设计的个元件元件定义,然后再调用这个元件,即用语言将各元件之间的连接关系描述出来元件映射。介绍元件例化中的元件定义和元件映射的语法格式,说明各项意义。通过个例子引用分频电路,来说明如何使用元件例化。通过图解说明元件例化语句的缺点如果在个实体中用到多个元件,那么在其结构体中要用大量篇幅定义元件。元件定义在结构体中,只有这个实体能调用该元件,如果有多个实体用到同个元件,那么在这多个实体中都要对该元件进行定义。通过对该问题的解决,引出程序包将数据类型元件定义子程序等收集到个程序包中,只要在设计实体中用语句调用该程序包,就可以使用这些预的状态图写出状态转移进程。语句嵌套语句,语句表示当前状态,语句表示在当前状态下,根据当前输入决定状态转移。提醒学生注意定要有状态机开始工作的条件,否则状态机永远不会工作。输出进程在状态机中输出只与当前状态相关,用个语句完成。在上步的基础上,对比状态机的实现,说明状态机的实现,仍分为两个进程确定状态转移的进程,决定输出值的进程。由于状态机与状态机的区别只在输出同时与当前状态和当前输入有关。因此进程的实现与机相同。输出由当前状态和当前输入共同决定,因此输出进程也是嵌套的格式,与状态转移进程类似。教学后记略第九周课内容用状态机实现交通灯控制器教学目的掌握使用状态机实现数字系统设计的方法。教学重点难点在中状态机的实现方法。教学方法图解举例演示。参考书目与资料略教学过程课堂教学实施过程共分四步。说明任务与要求,进行系统分析设计,在此基础上画出系统框图,确定元件模块和元件接口与连接。回顾在中实现层次化设计过程,用语言实现底层模块计数器和控制器的设计。重点介绍如何用状态机设计控制器,确定状态和状态转移条件,画出状态转移图,发现这是个状态机。回顾状态机的实现,完成对控制器的设计。完成计数器和控制器的设计后,生成相应的计数器和控制器元件符号。按系统框图建立顶层电路图,编译工程。教学后记结合实验六使用状态机实现交通灯控制实验,来加深对有限状态机设计的理解,同时巩固如何在中实现层次化设计。义的数据类型元件定义子程序。说明程序包的语法格式,通过举例说明如何在程序包中进行元件定义以及如何应用程序包。提醒学生注意容易出错的地方程序包设计文件应保存为同名的文件并编译。只有编译过的程序包才能被其它设计实体调用。通过个常见的实际问题设计个带参数的电子系统,使其应用范围更广,引出类属映射语句。通过设计带参数的分频器,说明如何用语言设计和调用带参数的元件。教学后记这节为选讲内容,我们只介绍了语法和使用方法。第八周课内容状态机的描述状态机的描述。教学目的理解什么是状态机和状态机,掌握状态机和状态机的描述。教学重点难点状态机和状态机的描述。教学方法图解举例类比。参考书目与资料略教学过程课堂教学实施过程共分三步。说明组合逻辑和时序逻辑的区别。用老式按钮风扇类比组合逻辑,空调遥控器类比时序逻辑,形象的说明了组合电路的输出只与当前输入有关。时序逻辑电路的输出不仅与当前输入有关,还与过去的系列输入有关。由此引出状态机输出由当前状态和当前输入决定,是种广义的时序电路。状态机分与型。说明这两种状态机的特点型输出仅取决于其所处状态,型输出不仅与当前状态有关,也与当前输入有关,更常见。举例说明型状态机,并画出其状态转移图,通过状态转移图加强型输出仅取决于其所处状态的理解。举例说明型状态机,并画出其状态转移图,通过状态转移图说明型输出不仅与当前状态有关,也与当前输入有关的特点。以状态机的状态转换图说明如何用来描述状态机,分为两个进程确定状态转移的进程,决定输出值的进程。状态转移进程状态机状态转移与输出无关。当状态输入时,仍然还在状态,没有进行状态转移,因此也与这种自环与状态转移无关。状态转移进程由去掉输出和自环后的状态转移图决定。根据化简后错的地方,并对初学者进行相应的建议使用范例,在中选择范例。进行编译时,要求关联文件文件名相同,建议采用默认文件名,不要自己更改文件名。以与非门的程序为例说明结构体的语法,各项的意义。提醒学生注意初学者容易出错的地方。并通过演示出错情况,加深学生的印象。最后通过图解归纳结构体的格式,应注意的地方。基本数据类型回到与非门的程序的实体声明部分,引出的数据类型。介绍各种数据类型,重点介绍最常用的标准逻辑位标准逻辑位矢量。提醒学生注意使用标准逻辑位矢量时必须注明数组中元素个数和排列方向,并举例说明。最后比较和语言中使用数据类型的异同点同语言样,必须先声明端口和信号,然后才能使用,在声明中必须定义它们的数据类型与语言不同,是强类型语言,只有相同数据类型的端口信号和操作数才能相互作用。数据对象介绍的数据对象信号变量和常量。以与非门的程序为例,通过图解比较说明信号和端口的异同点。说信号声明的格式和信号赋值语句的格式。重点说明矢量赋值,通过举例说明在矢量赋值要特别注意两矢量之间的元素对应关系。说明定义变量的语法格式变量赋值的语法格式。比较信号和变量的区别。最后介绍定义常量的语法格式。教学后记略。第四周课内容运算符并行信号赋值语句。教学目的掌握运算符。掌握语言的并行信号赋值语句,特别是选择信号赋值语句和条件信号赋值语句,理解条件信号赋值语句的各赋值子句的优先级差别。教学重点难点并置运算符选择信号赋值语句条件信号赋值语句。教学方法举例演示图解。参考书目与资料略教学过程课堂教学实施过程共分四步。分别介绍的四种运算符算术运算符并置运算符关系运算符逻辑运算符。对算术运算符重点说明能支持的数据类型和不能支持的数据类型。并置运算符是本课程的个难点,通过个电路设计,举例说明并置运算符的应用。关系运算符重点说明能支持的数据类型。提醒学感信号发生变化才会被激活。在此基础上说明进程与时钟的关系。通过图解说明时钟上升沿驱动进程语句,使学生较好的理解了进程的时钟和进程是种隐形的循环。给出时钟沿的描述,通过几个寄存器的设计,说明如何使用进程语句,通过观察波形仿真图,加深学生对进程与时钟的关系的理解。最后总结进程的要点和容易出错的几个地方。介绍顺序语句,提醒学生注意进程在激活的瞬间就执行完进程中所有语句。重点介绍语句和语句。给出语句的三种格式,说明它们的特点。在此基础上总结使用语句注意的要点。最后通过设计串行输入并行输出的移位寄存器和并行输入串行输出的移位寄存器,加深学生对语句语句嵌套的理解。给出语句的格式,说明语句使用要点。最后通过设计带使能端的译码器,加深学生对语句语句嵌套的理解。介绍语句和空语句。教学后记进程语句是最具语言特色的语句,它提供了种用算法描述硬件行为的方法,是使用最多的语句之。掌握进程语句的特点,熟练运用进程语句和其它基本语句进行组合和时序逻辑电路设计是本章的重点和难点。在使用进程语句中最难理解的是时钟,我们通过图解说明进程的工作原理过程,使学生较好的理解了进程的时钟和进程是种隐形的循环。课后学生反映较好。由于设计进程需要定的数字电路知识,部分学生对进程和时钟仍较难理解,这还需要通过不断的上机实验编程才能掌握。第六周课内容层次化设计概念在中实现层次化设计教学目的理解层次化设计的优点。理解层次化设计的核心思想模块化元件重用。掌握在中采用图形法与文本法结合的混合输入方法实现元件重用和系统的层次化设计。教学重点难点在中实现层次化设计。教学方法图解举例演示。参考书目与资料略教学过程课堂教学实施过程共分三步。说明层次化设计的优点。图解说明层次化设计的核心思想模块化元件重用。加深对层次化设计的优点的理解。图解说明在中实现系统层次化设计的主要步骤首先按自顶向下的设计方法,设计系统注意在条件语句中出现为小于等于,其它情况为信号赋值。逻辑运算符重点说明其优先级关系。并行语句是硬件描述语言区别于般软件程序语言的最显著的特点之。通过图解说明常用并行语句特点,从图中直观的看到并行语句之间是并行执行,但并行语句内部的执行可以是顺序的进程语句,也可以是并行的块语句。介绍并行信号赋值语句简单信号赋值语句选择信号赋值语句条件信号赋值语句。给出选择信号赋值语句格式,并通过设计线译码器,说明如何使用选择信号赋值语句。提醒学生注意容易出错的几点选择值定要覆盖所有可能情况,若不可能指定,则要借助为其它情况找个出口。因此强烈建议初学者使用语句时,最后都带上。选择值必须互斥,不能出现条件重复或重叠的情况。给元素赋常数值,用单引号给矢量赋常数值,用双引号。通过演示出错情况,加深学生的印象。给出条件信号赋值语句格式,并通过设计线优先编码器,说明如何使用条件信号赋值语句。提醒学生注意容易在执行语句时,赋值条件按书写的先后顺序逐项测试,旦发现赋值条件得到满足,即将相应表达式的

下一篇
可编程逻辑器件的设计与测试教案第1页
1 页 / 共 16
可编程逻辑器件的设计与测试教案第2页
2 页 / 共 16
可编程逻辑器件的设计与测试教案第3页
3 页 / 共 16
可编程逻辑器件的设计与测试教案第4页
4 页 / 共 16
可编程逻辑器件的设计与测试教案第5页
5 页 / 共 16
可编程逻辑器件的设计与测试教案第6页
6 页 / 共 16
可编程逻辑器件的设计与测试教案第7页
7 页 / 共 16
可编程逻辑器件的设计与测试教案第8页
8 页 / 共 16
可编程逻辑器件的设计与测试教案第9页
9 页 / 共 16
可编程逻辑器件的设计与测试教案第10页
10 页 / 共 16
可编程逻辑器件的设计与测试教案第11页
11 页 / 共 16
可编程逻辑器件的设计与测试教案第12页
12 页 / 共 16
可编程逻辑器件的设计与测试教案第13页
13 页 / 共 16
可编程逻辑器件的设计与测试教案第14页
14 页 / 共 16
可编程逻辑器件的设计与测试教案第15页
15 页 / 共 16
温馨提示

1、该文档不包含其他附件(如表格、图纸),本站只保证下载后内容跟在线阅读一样,不确保内容完整性,请务必认真阅读。

2、有的文档阅读时显示本站(www.woc88.com)水印的,下载后是没有本站水印的(仅在线阅读显示),请放心下载。

3、除PDF格式下载后需转换成word才能编辑,其他下载后均可以随意编辑、修改、打印。

4、有的标题标有”最新”、多篇,实质内容并不相符,下载内容以在线阅读为准,请认真阅读全文再下载。

5、该文档为会员上传,下载所得收益全部归上传者所有,若您对文档版权有异议,可联系客服认领,既往收入全部归您。

  • 文档助手,定制查找
    精品 全部 DOC PPT RAR
换一批